Your new topic does not fit any of the above??? Check first. Then post here. Thanks.

Moderator: igrr

User avatar
By btidey
#76115 The 26MHz is the crystal frequency. Internally a pll generates a 160MHz clock which feeds the logic including the i2s circuitry.

This still doesn't allow you to get 12MHz accurately but I think one could get 12.3